Quantcast
Channel: SystemC TLM Forum RSS Feed
Browsing latest articles
Browse All 185 View Live

Changing the type of a ml_tlm1 with the UVM factory

Hi, is it possible to change the type of a ml_tlm1 by using the UVM factory?   Inside the UVC environment class: uvm_ml::ml_tlm1#(eq_transaction)::register(agent.monitor.in_port); In a test or in the...

View Article



Error: (E113) insert primitive channel failed: simulation running In file: ...

Can someone know this problem in systemc by using VS 2010:  Error: (E113) insert primitive channel failed: simulation running In file:...   Thanks!

View Article

Error: (E402) operation failed: div_by_zero<Type>( Type ) : division...

Error: (E402) operation failed: div_by_zero<Type>( Type ) : division by zero In file: d:\systemc-2.3.0\systemc-2.3.0\systemc-2.3.0\src\sysc\datatypes\int\sc_ nbutils.h:649  

View Article

Issue with b _transport

Hello , i have a scenario where there is tlm scokets btw two module Module 1 have two threads A & B running independently and module 2 has single thread  C now i problem is thread1 is activated...

View Article

Tlm sockets

Hi guys I have some models written using tlm initiator/target sockets. In one of the model there are two target sockets abd there is one b_transport method. In this method is there a way to know on...

View Article


systemC TLM projects

hai to all                     Iam karthik,doing my masters in VLSI DESIGN ,i found my interest in system level design and i wish to do project in systemcTLM based simulation.As a starter ,learning...

View Article

Verifing virtual platform with device driver

Hello guys ,   i am into development of UFS(Universal Flash Storage) which follows SCSI based commands ....Now i have developed its model and wish to verify this model with device driver available in...

View Article

Producer-Consumer

Hi,   I was wondering if it is possible to model the producer-consumer problem using LT (b-transport) when we have 1 producer and 2 consumers? In this case, I guess, we need a buffer in the middle and...

View Article


Sending all transactions, arriving at several targets, from one initiator

Hi All,   I'm quite new to TLM and have just joined this forum. I'd appreciate if you could help me to clarify one probably very simple question. I have N targets and one initiator. Each target handles...

View Article


Issue with circular buffer

Hi All, I'm new at this forum.  I encounter problem with circular_buffer. Let me explain it on simple example (code is from circular_buffer.h):     I have a circular_buffer with few elements . After...

View Article

Issue with Virtual platform integration

I have a virtual platform(versatilepb based) which I am using to validate my Universal flash subsystem(UFS) host controller TLM model. From OS, when it issue SCSI command, the underlying ufshcd driver...

View Article

non bloking transport

Hi TLM Experts,        Dose anyone have a material related to nonbloking trasnsport,which help me to understanging the PHASES & PEQ ?      please, send it to me or give link of that.      I can't...

View Article

bind socket between tlm and simple initiator socket

Hi,   recently I tried to conncted socket between tlm initiator socket to simple initiator socket, my code is shown as below:   (actually I modify from example "at_4_pahse")   In select_initiator.h, I...

View Article


TLM Sockets

Hi Guys Is it possible to connect one tlm_initiator_socket to more than one tlm_target_socket and vice versa. If no, why? And what about one tlm_initiator_socket to more than one tlm_initiator_socket...

View Article

Image may be NSFW.
Clik here to view.

AMBA PV extensions

Hi,    Can anyone help me in throwing light on the use cases of AMBA PV extensions? When one should use it ? Can it be used for building a AHB/APB to TLM converter ?   Also, can anyone please help me...

View Article


Image may be NSFW.
Clik here to view.

Possible bug in...

Hi,   The code below in this function may be not appropriate.     //get the interfaces bound to the top of the hierachical bind chain     // NOTE: this could be the same socket if there is no...

View Article

[GDB] Unable to access debug data

Hi guys, I am having some trouble with GDB and the SystemC library. I have searched for similar topics but couldn't find anything relevant. Here is the case: I have a message_queue.hpp which inherits...

View Article


Convenience Socket for NoC Mesh

Hi Accellera forum,   I have an NoC Mesh that is using convenience tagged socket for its North, South, West, and East socket. Then I also have initiator socket and target socket, to connect this NoC...

View Article

Whats Stoping systemc/TLM based model from replacing hardware ?

Hello guys, well with  systemC modelling appoarch is becoming more accurate in recent times .. I wish to discuss here that why do we need to go for  synthesizable systemC ... why can't we just replace...

View Article

Image may be NSFW.
Clik here to view.

How does simple_initiator_socket get access to b_transport?

I am a bit confused by the usage of the b_transport function in the file examples/tlm/common/src/lt_initiator.cpp. The lt_initiator class has a simple_socket_initiator member that is calling the...

View Article

hands on experience on not so simple project

Hi everyone,   I've recently started to wonder what kind of project I can start with to get my hands on SystemC and TLM. I know there are tons of tutorials, getting started like materials, open...

View Article


Introduction to SystemC TLM Verification

Hi all,     After developing a virtual platform using SystemC with TLM, and also several peripherals (IP) model in high-level (LT), I realised that if in RTL design there is a UVM to say that the...

View Article


Error: (E124)

Hello All,   I am trying to create a generic TLM interface for 2 to 3 modules . In which i am virtually inherting the tlm interface . But i am getting an error . Could you tell me the cause of error....

View Article

Information required on SC_STOP

Hi All, For certain drivers testing I have a model in which, my init threads starts the systemC kernel. Now I have a scenario where, I call sc_stop at end of one test case. Now I need to call systemC...

View Article

Determining appropriate delay time

Good day,   I have a question regarding how to determine the appropriate delay value for the wait( ) function call. In the target b_transport callback, we can add delay to the simulation time by...

View Article


SystemC TLM Custom Payload

Hi,   I'm newbie to SystemC TLM, coming from SystemVerilog UVM world. I would like to model our RTL in SystemC using TLM2.0 to speed up our Software Development process.   Our RTL is not memory mapped...

View Article

TLM2 endianness rules

Hi Guys   In TLM2 LRM, under section 14.18.2, I am not fully understand the following points   Rule i)  The effective word length shall be calculated as (BUSWIDTH + 7)/8 bytes. >> from where this...

View Article

Error E124 sc_export instance not bound

Hello Forum,   I get the error:   WARN    SystemC                    -        0.0/000: Error: (E124) sc_export instance not bound to interface at end of construction: export 'top.cpu.PV.export_0'...

View Article

How to use TLM1 examples with systemC-2.3.1

Hi,    When I trying to compile the provided TLM-1 examples (package 2005-04-28) using systemC-2.3.1, I got errors. Are these examples not compatible with systemC-2.3.1 ? Or is there some thing to be...

View Article



TLM 2.0 in System Verilog

Hi All,         Well am trying to integrate TLM based SystemC model with UVM environment VIP.For SystemC port binding is working fine in UVM top.sv file .But how can i bind TLM sockets(initiator and...

View Article

TLM sample running error

Hi, I am a beginner in systemc. I installed systemc in vs2015. When I finished load TLM1.0 utils,tlm,basic_protocol,user into directories. I got two errors    1 unresolved externals and LNK 2019....

View Article

A little bit confused about "delay" of b_transport

Read some examples about TLM and find the calls about "b_transport" with delay. But all of them just ignore it in the implementation.    The sc_time_stamp() from both initiator and target are the same...

View Article

Port context in interface functions

Is there a way to know in a channel interface function in which port context it is called? In my scenario I have a interface with read and write function. Now I have an initiator model with two ports...

View Article


array of tlm generic payload

Hello,   I tried to make an array like tlm_generic_payload buffer[10] and then buffer[i] = a, which a is another tlm_generic_payload object. However it gives me an tlm_gp.h:199 error during...

View Article

Why 16 write commands are generated in at_4_phase TLM example (Systemc 2.3.1)?

I am learning the TLM example at_4_phase in the SystemC 2.3.1 package.   But I don't know why there are 16 WRITE commands are generated by the traffic_generator?   From my understanding, the...

View Article

Simulation ends after b_transport: why?

Hello,   I used b_transport in of my TL models to exchange data from a transactor to a memory. It was a read/write/reset operation kinda thing. Data from memory is transferred to another module...

View Article


Modelling of external events for a Virtual Platform

Hi,    my task is to set up a virtual platform using systemc and tlm 2.0 for embedded software development.  The software developer should write a stimulus file and download it in the virtual platform...

View Article


"no matching function for call to ...b_transport" in ModelSim 10.4a

Hello,   I'm trying to compile a design with a TLM2 socket (simple_initiator_socket) in ModelSim (sccom). So far, the compiler returns an error saying that there is no function called b_transport....

View Article

Image may be NSFW.
Clik here to view.

Error: (E100) port specified outside of module: port...

Hi all, I just working with TLM systemC, I'm trying to complete a simple example, but I got a error:   Error: (E100) port specified outside of module: port 'simple_target_socket_0_port_0'...

View Article

Image may be NSFW.
Clik here to view.

doulos's example is fails

Hi all, I just have started with TLM 2.0. I'm trying complete doulos's example but it's fails. I have 2 modules: Initiator and Memory. I want to transmit "data" from Initiator throught Memory, but...

View Article

problem with doulos's "routing" example

Hi all, I tried working with "routing" example on https://www.doulos.com/knowhow/systemc/tlm2/tutorial__3/ , but it's fails...

View Article


error C2259: 'sc_core::sc_trace_file' : cannot instantiate abstract class

Hi all, Help me a problem, please. I'm working with example on site https://www.doulos.com/knowhow/systemc/tlm2/tutorial__3/ , I have tried generating a vcd file but it's error 1>------ Build...

View Article

Help me about routing example

I have an example of systemc which implements "and" function. I have assigned 4 value for input signal but it have implemented only 1 value. help me please! #define SC_INCLUDE_DYNAMIC_PROCESSES...

View Article


Research problem

I started doing my PhD on high level synthesis of medical image processing....so any body plz suggest any one research problem

View Article

peq_with_get VS peq_with_cb_and_phase

Hi all,   I'm struggling to figure out the difference between peqs. I wrote my own codes but I don't see any usage case difference.   Let me to show a simple example for a Target (not a real code but...

View Article


Backwards generic_payload

Hello All,   I have some confusion on the usage of the generic payload on the backwards path (e.g. AT nb_transport usage).  At first glance, I would expect the target to re-use the generic_payload that...

View Article

Accessing to a shared variable

Hi all.   I'm testing some codes to better understanding tlm.   In this moment I have a block with this variable :       std::map <tlm::tlm_generic_payload*, unsigned int>  queue;   Basically a...

View Article

Good TLM Tutorial needed

Dear all, can anyone tell me about some good resources (books, tutorials etc) from where i can learn TLM. Waiting for your reply

View Article

tlm_fifo content safety

Hi,   I am used to making containers with boost::shared_ptr<T> as a data type. I expected tlm_fifo to have similar semantics to STL containers, such as copy on push (tlm_fifo::put) But I am...

View Article


Qemu interface with upper layers

Hi All,   I'm new to virtual platform .What is the interface between Qemu and kernal(drivers )?? And how it's integrated ?? Could you please refer me  documents or some stuff related to this ??...

View Article

Browsing latest articles
Browse All 185 View Live




Latest Images